Multiplexor y demultiplexor : Tipos y sus diferencias

En los sistemas digitales a gran escala, se necesita una sola línea para transportar dos o más señales digitales, y por supuesto, se puede colocar una señal en una sola línea. Pero, lo que se necesita es un dispositivo que nos permita seleccionar; y, la señal que deseamos colocar en una línea común, tal circuito se denomina multiplexor. La función de un multiplexor es seleccionar la entrada de unas "n" líneas de entrada cualesquiera y llevarla a una línea de salida. La función de un demultiplexor es invertir la función del multiplexor. Las formas abreviadas de multiplexor y demultiplexor son mux y demux. Algunos multiplexores realizan tanto operaciones de multiplexación como de demultiplexación. La función principal del multiplexor es que combina las señales de entrada, permite la compresión de datos y comparte un único canal de transmisión. Este artículo ofrece una visión general del multiplexor y el demultiplexor.


Índice de Contenido
  1. ¿Qué son el multiplexor y el demultiplexor?
    1. ¿Qué es un multiplexor?
    2. Tipos de multiplexores
    3. Ventajas y desventajas del multiplexor
    4. ¿Qué es el demultiplexor?
    5. Tipos de demultiplexores
    6. Ventajas y desventajas del demultiplexor
  2. Diferencia entre multiplexor y demultiplexor
    1. Diferencia clave entre el multiplexor y el demultiplexor

¿Qué son el multiplexor y el demultiplexor?

En la transmisión en red, tanto el multiplexor como el demultiplexor son circuitos combinacionales. Un multiplexor selecciona una entrada de entre varias entradas y luego la transmite en forma de una sola línea. Un nombre alternativo del multiplexor es MUX o selector de datos. Un demultiplexor utiliza una señal de entrada y genera muchas. Por eso se conoce como Demux o distribuidor de datos.

Multiplexor y demultiplexor

¿Qué es un multiplexor?

El multiplexor es un dispositivo que tiene varias entradas y una sola línea de salida. Las líneas de selección determinan qué entrada se conecta a la salida, y también aumentan la cantidad de datos que pueden enviarse por la red en un tiempo determinado. También se llama selector de datos.

El interruptor unipolar multiposición es un ejemplo sencillo de circuito no electrónico del multiplexor, y se utiliza ampliamente en muchos circuitos electrónicos. El multiplexor se utiliza para realizar conmutaciones de alta velocidad y se construye con componentes electrónicos.

Multiplexor
Multiplexor

Los multiplexores son capaces de manejar tanto aplicaciones analógicas como digitales. En las aplicaciones analógicas, los multiplexores están formados por relés e interruptores de transistores, mientras que en las aplicaciones digitales, los multiplexores se construyen a partir de puertas lógicas estándar. Cuando el multiplexor se utiliza para aplicaciones digitales, se denomina multiplexor digital.

Tipos de multiplexores

Los multiplexores se clasifican en cuatro tipos:

  • multiplexor 2-1 ( 1 línea de selección)
  • multiplexor 4-1 (2 líneas de selección)
  • multiplexor 8-1 (3 líneas de selección)
  • multiplexor 16-1 (4 líneas de selección)

multiplexor de 4 a 1

El multiplexor 4X1 consta de 4 bits de entrada, 1 bit de salida y 2 bits de control. Los cuatro bits de entrada son, respectivamente, 0, D1, D2 y D3; sólo uno de los bits de entrada se transmite a la salida. El o/p 'q' depende del valor de la entrada de control AB. El bit de control AB decide cuál de los bits de datos i/p debe transmitir la salida. La siguiente figura muestra el diagrama del circuito multiplexor 4X1 que utiliza puertas AND. Por ejemplo, cuando los bits de control AB =00, se permiten las puertas AND más altas, mientras que las puertas AND restantes están restringidas. Así, la entrada de datos D0 se transmite a la salida "q"

mux 4X1
4X1 Mux

Si la entrada de control se cambia a 11, se restringen todas las puertas excepto la puerta AND inferior. En este caso, D3 se transmite a la salida, y q=D0. Si la entrada de control se cambia a AB =11, todas las puertas se desactivan excepto la puerta AND inferior. En este caso, D3 se transmite a la salida, y q = D3. El mejor ejemplo de multiplexor 4X1 es el CI 74153. En este CI, el o/p es el mismo que el i/p. Otro ejemplo de multiplexor 4X1 es el CI 45352. En este CI, el o/p es el complemento del i/p

multiplexor de 8 a 1

El multiplexor de 8 a 1 consta de 8 líneas de entrada, una de salida y 3 de selección.

multiplexor de 8 a 1
mux de 8 a 1

circuito multiplexor 8-1

Para la combinación de una entrada de selección, la línea de datos se conecta a la línea de salida. El circuito que se muestra a continuación es un multiplexor 8*1. El multiplexor 8*1 requiere 8 puertas AND, una puerta OR y 3 líneas de selección. Como entrada, la combinación de entradas de selección se da a la puerta AND con las correspondientes líneas de datos de entrada.

De forma similar, se da conexión a todas las puertas AND. En este multiplexor 8*1, para cualquier entrada de línea de selección, una puerta AND da un valor de 1 y las restantes puertas AND dan 0. Y, finalmente, mediante el uso de puertas OR, se suman todas las puertas AND; y, esto será igual al valor seleccionado.

circuito Mux de 8 a 1
circuito Mux de 8 a 1

Ventajas y desventajas del multiplexor

El ventajas del multiplexor incluyen las siguientes.

  • En el multiplexor, se puede disminuir el uso de un número de cables
  • Reduce el coste y la complejidad del circuito
  • La implementación de varios circuitos combinados puede ser posible utilizando un multiplexor
  • El multiplexor no requiere mapas K y simplificación
  • El multiplexor puede hacer que el circuito de transmisión sea menos complejo y económico
  • La disipación de calor es menor gracias a la corriente de conmutación analógica que oscila entre 10mA y 20mA.
  • La capacidad del multiplexor puede ampliarse para conmutar señales de audio, de vídeo, etc.
  • La fiabilidad del sistema digital puede mejorarse utilizando un MUX, ya que disminuye el número de conexiones exteriores por cable.
  • El MUX se utiliza para implementar varios circuitos combinacionales
  • El diseño lógico puede simplificarse mediante MUX

El desventajas del multiplexor incluyen las siguientes.

  • Retardos adicionales necesarios en los puertos de conmutación y las señales de E/S que se propagan por el multiplexor.
  • Los puertos que se pueden utilizar al mismo tiempo tienen limitaciones
  • La conmutación de puertos se puede manejar añadiendo la complejidad del firmware
  • El control del multiplexor puede hacerse utilizando puertos de E/S adicionales.

Aplicaciones de los multiplexores

Los multiplexores se utilizan en diversas aplicaciones en las que es necesario transmitir varios datos utilizando una sola línea.

Sistema de comunicación

Un sistema de comunicación tiene tanto una red de comunicación como un sistema de transmisión. Mediante el uso de un multiplexor, se puede aumentar la eficacia del sistema de comunicación permitiendo la transmisión de datos, como los de audio y vídeo, desde diferentes canales a través de líneas o cables únicos.

Memoria de ordenador

Los multiplexores se utilizan en la memoria de los ordenadores para mantener una gran cantidad de memoria en los ordenadores, y también para reducir el número de líneas de cobre necesarias para conectar la memoria a otras partes del ordenador.

Red telefónica

En las redes telefónicas, se integran múltiples señales de audio en una sola línea de transmisión con la ayuda de un multiplexor.

Transmisión desde el sistema informático de un satélite

El multiplexor se utiliza para transmitir las señales de datos desde el sistema informático de una nave espacial o un satélite al sistema terrestre utilizando un satélite GSM.

¿Qué es el demultiplexor?

El desmultiplexor es también un dispositivo con una entrada y varias líneas de salida. Se utiliza para enviar una señal a uno de los muchos dispositivos. La principal diferencia entre un multiplexor y un desmultiplexor es que un multiplexor toma dos o más señales y las codifica en un cable, mientras que un desmultiplexor hace lo contrario de lo que hace el multiplexor.

Demultiplexor
Demultiplexor

Tipos de demultiplexores

Los demultiplexores se clasifican en cuatro tipos

  • demultiplexor 1-2 (1 línea de selección)
  • 1-4 demultiplexor (2 líneas de selección)
  • demultiplexor 1-8 (3 líneas de selección)
  • demultiplexor 1-16 (4 líneas de selección)

demultiplexor 1-4

El demultiplexor 1-4 consta de 1 bit de entrada, 4 bits de salida y bits de control. A continuación se muestra el esquema del circuito del demultiplexor 1X4.

demultiplexor 1X4
1X4 Demux

El bit de i/p se considera un dato D. Este bit de datos se transmite al bit de datos de las líneas de o/p, que depende del valor AB y del i/p de control.

Cuando el i/p de control AB = 01, la segunda puerta AND superior está permitida, mientras que las restantes puertas AND están restringidas. Así, sólo se transmite a la salida el bit de datos D, e Y1 = Datos.

Si el bit de datos D es bajo, la salida Y1 es baja. Si el bit de datos D es alto, la salida Y1 es alta. El valor de la salida Y1 depende del valor del bit de datos D, las demás salidas están en estado bajo.

Si la entrada de control cambia a AB = 10, todas las puertas se restringen excepto la tercera puerta AND de la parte superior. Entonces, el bit de datos D se transmite sólo a la salida Y2; y, Y2 = Datos. . El mejor ejemplo de demultiplexor 1X4 es el CI 74155.

demultiplexor 1-8

El demultiplexor también se llama distribuidor de datos, ya que requiere una entrada, 3 líneas seleccionadas y 8 salidas. El demultiplexor toma una sola línea de datos de entrada y la pasa a cualquiera de las líneas de salida. A continuación se muestra el diagrama del circuito del demultiplexor de 1 a 8, que utiliza 8 puertas AND para realizar la operación.

circuito demultiplexor 1-8
circuito Demux 1-8

El bit de entrada se considera un dato D y se transmite a las líneas de salida. Esto depende del valor de la entrada de control AB. Cuando AB = 01, la segunda puerta superior F1 se habilita, mientras que las restantes puertas AND se deshabilitan, y el bit de datos se transmite a la salida dando F1= datos. Si D es bajo, la F1 es baja, y si D es alto, la F1 es alta. Así, el valor de la F1 depende del valor de D, y el resto de las salidas están en estado bajo.

Ventajas y desventajas del demultiplexor

El ventajas de la demultiplexaciónr incluyen las siguientes.

  • Se utiliza un demultiplexor o Demux para dividir las señales mutuas en flujos separados.
  • La función del Demux es bastante opuesta a la del MUX.
  • La transmisión de señales de audio o vídeo necesita una combinación de Mux y Demux.
  • El Demux se utiliza como decodificador en los sistemas de seguridad de los sectores bancarios.
  • La eficacia del sistema de comunicación puede mejorarse mediante la combinación de Mux y Demux.

El desventajas del demultiplexor incluyen las siguientes.

  • Puede producirse una pérdida de ancho de banda
  • Debido a la sincronización de las señales, pueden producirse retrasos

Aplicaciones del demultiplexor

Los demultiplexores se utilizan para conectar una única fuente con varios destinos. Entre estas aplicaciones se encuentran las siguientes:

Sistema de comunicación

Tanto el multiplexor como el desmultiplexor se utilizan en los sistemas de comunicación para llevar a cabo el proceso de transmisión de datos. Un desmultiplexor recibe las señales de salida del multiplexor y, en el extremo receptor, las convierte de nuevo en la forma original.

Unidad aritmética lógica

La salida de la ALU se alimenta como entrada al demultiplexor, y la salida del demultiplexor se conecta a múltiples registros. La salida de la ALU puede almacenarse en múltiples registros.

Convertidor serie-paralelo

Este convertidor se utiliza para reconstruir datos paralelos. En esta técnica, los datos en serie se dan como entrada al demultiplexor a un intervalo regular, y se adjunta un contador al demultiplexor en la entrada de control para detectar la señal de datos a la salida del demultiplexor. Cuando se almacenan todas las señales de datos, la salida del demultiplexor puede leerse en paralelo.

Diferencia entre multiplexor y demultiplexor

La principal diferencia entre el multiplexor y el demultiplexor se explica a continuación.

Multiplexor Demultiplexor
Un multiplexor (Mux) es un circuito combinacional que utiliza varias entradas de datos para generar una única salida. Un demultiplexor (Demux) también es un circuito combinacional que utiliza una única entrada que puede dirigirse a través de varias salidas.
El multiplexor incluye varias entradas y una única salida El demultiplexor incluye una sola entrada y varias salidas
Un multiplexor es un selector de datos El demultiplexor es un distribuidor de datos
Es un conmutador digital Es un circuito digital
Funciona según el principio de muchos a uno Funciona según el principio de uno a muchos
La conversión de paralelo a serie se utiliza en el multiplexor La conversión de serie a paralelo se utiliza en el demultiplexor
El multiplexor utilizado en TDM (Multiplexación por División de Tiempo) está al final del transmisor El demultiplexor utilizado en la multiplexación por división de tiempo (TDM) se encuentra al final del receptor
El multiplexor se llama MUX El demultiplexor se llama Demux
No utiliza ninguna puerta adicional en el diseño En este caso, se necesitan puertas adicionales al diseñar el demux
En el multiplexor, las señales de control se utilizan para elegir la entrada específica que debe enviarse a la salida. El demultiplexor utiliza la señal de control para permitirnos incluir varias salidas.
El multiplexor se utiliza para mejorar la eficacia del sistema de comunicación mediante la transmisión de datos, como la transmisión de audio y vídeo. El demultiplexor obtiene las señales o/p del Mux y las cambia a la forma única al final del receptor.
Los diferentes tipos de multiplexores son 8-1 MUX, 16-1 MUX y 32-1 MUX. Los diferentes tipos de demultiplexores son 1-8 Demux, 1-16 Demux, 1-32 Demux.
En el multiplexor, el conjunto de líneas de selección se utiliza para controlar la entrada específica En el demultiplexor, la selección de la línea de salida se puede controlar mediante los valores de bits de las líneas de selección n.

Diferencia clave entre el multiplexor y el demultiplexor

A continuación se exponen las diferencias clave entre el multiplexor y el demultiplexor.

  • Los circuitos lógicos combinacionales, como el multiplexor y el demultiplexor, se utilizan en los sistemas de comunicación, pero su función es exactamente opuesta, porque uno trabaja con varias entradas y el otro sólo con una.
  • El multiplexor o Mux es un dispositivo N a 1, mientras que el demultiplexor es un dispositivo 1 a N.
  • Un multiplexor se utiliza para convertir varias señales analógicas o digitales en una sola señal o/p a través de diferentes líneas de control. Estas líneas de control pueden determinarse utilizando esta fórmula como 2n=r donde "r" es el número de señales i/p y "n" es el número de líneas de control necesarias.
  • El método de conversión de datos utilizado en el MUX es de paralelo a serie y no es difícil de entender porque utiliza entradas diferentes. Sin embargo, el DEMUX funciona de forma inversa al MUX, como una conversión de serie a paralelo. Por tanto, en este caso se puede alcanzar el número de salidas.
  • Un demultiplexor se utiliza para convertir una señal i/p en varias. El número de señales de control se puede determinar utilizando la misma fórmula del MUX.
  • Tanto el Mux como el Demux se utilizan para transmitir los datos a través de una red con menos ancho de banda. Pero el multiplexor se utiliza en el extremo del transmisor, mientras que el Demux se utiliza en el extremo del receptor.

Esta es la información básica sobre los multiplexores y demultiplexores. Espero que hayas adquirido algunos conceptos fundamentales sobre este tema al observar los circuitos lógicos y sus aplicaciones. Puedes escribir tus opiniones sobre este tema en la sección de comentarios de abajo.

Créditos de las fotos

Si quieres conocer otros artículos parecidos a Multiplexor y demultiplexor : Tipos y sus diferencias puedes visitar la categoría Generalidades.

¡Más Contenido!

Deja una respuesta

Tu dirección de correo electrónico no será publicada. Los campos obligatorios están marcados con *

Subir